P
Pedro Claro
After some simulations I decided to synthetize my design, but I don't know
why these errors occur:
WARNING:Xst:1293 - FF/Latch <queue_nr_7> is constant in block <sched_classif>.
WARNING:Xst:1293 - FF/Latch <queue_nr_4> is constant in block <sched_classif>.
WARNING:Xst:1293 - FF/Latch <queue_nr_6> is constant in block <sched_classif>.
WARNING:Xst:1293 - FF/Latch <queue_nr_5> is constant in block <sched_classif>
Does anyone know why this happens?
Here's the code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.sched_conf.all;
entity sched_classif is
Port (allow_packet: in std_logic;
clk: in std_logic;
packet_ready: in std_logic;
traffic_class: in std_logic_vector(7 downto 0);
-- packet_size:in std_logic_vector(15 downto 0);
queue_nr: out std_logic_vector(7 downto 0);
reset: in std_logic;
enqueue: out std_logic);
end sched_classif;
architecture Behavioral of sched_classif is
begin
prClassif: process(reset,clk)
--variable tclass: std_logic_vector(7 downto 0);
variable temp: integer range 0 to 255;
begin
if(reset='1') then
queue_nr<="00000000";
enqueue<='0';
else if(rising_edge(clk)) then
if(allow_packet='1') then
temp:=conv_integer(traffic_class) rem N_QUEUES;
queue_nr<= conv_std_logic_vector(temp,8);
enqueue<='1';
else if(packet_ready='0') then
queue_nr<=conv_std_logic_vector(N_QUEUES,8);
enqueue<='0';
end if;
end if;
end if;
end if;
why these errors occur:
WARNING:Xst:1293 - FF/Latch <queue_nr_7> is constant in block <sched_classif>.
WARNING:Xst:1293 - FF/Latch <queue_nr_4> is constant in block <sched_classif>.
WARNING:Xst:1293 - FF/Latch <queue_nr_6> is constant in block <sched_classif>.
WARNING:Xst:1293 - FF/Latch <queue_nr_5> is constant in block <sched_classif>
Does anyone know why this happens?
Here's the code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.sched_conf.all;
entity sched_classif is
Port (allow_packet: in std_logic;
clk: in std_logic;
packet_ready: in std_logic;
traffic_class: in std_logic_vector(7 downto 0);
-- packet_size:in std_logic_vector(15 downto 0);
queue_nr: out std_logic_vector(7 downto 0);
reset: in std_logic;
enqueue: out std_logic);
end sched_classif;
architecture Behavioral of sched_classif is
begin
prClassif: process(reset,clk)
--variable tclass: std_logic_vector(7 downto 0);
variable temp: integer range 0 to 255;
begin
if(reset='1') then
queue_nr<="00000000";
enqueue<='0';
else if(rising_edge(clk)) then
if(allow_packet='1') then
temp:=conv_integer(traffic_class) rem N_QUEUES;
queue_nr<= conv_std_logic_vector(temp,8);
enqueue<='1';
else if(packet_ready='0') then
queue_nr<=conv_std_logic_vector(N_QUEUES,8);
enqueue<='0';
end if;
end if;
end if;
end if;