access internal signal on top level in VHDL

A

anupam

hi,
i want to force a value or read a value of an internal signal in VHDL .
That is possible with signal spy in model sim but i want to use ncsim .
Is it possible with ncsim without using any other language's interface
(like c or tcl)??
please suggest
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,901
Latest member
Noble71S45

Latest Threads

Top