Adding Libraries to Xilinx/ModelSim

B

Brad Smallridge

I am trying to add a library from a memory manufacturer
to the Xilinx/Modelsim Webpack environment. How does
one do this?

It seems that Xilinx/ModelSim want to have all its libraries
in the "work" directory. The manufacturer want a GSI library
I suppose in the GSI directory, or can this also be in work.

There is also the issue of Xilinx ISE making an FDO file to
drive the Mentor Graphics ModelSim program. There is
a UDO file there to add on extra stuff, but perhaps to late?
I am getting, can't find GSI library errors. I have made
custom DO files in the past and know where to turn these
on instead of the FDO file.

Then I have an issue of having two vhdl files sent by the
mfg. One seems clean and consist of a package declaration
and its functions. The other one, has references to a GSI
library, one that I was told to make. !? Is it possible that this
second file be compiled into an existing gsi library, perhaps
generated from compiling the first vhdl file( which does compile
in ModelSim) ?

Please someone tell me the procedure for doing this.

Thanks in advance,

Brad Smallridge
aivision.com
 
M

Mike Treseler

Brad said:
I am trying to add a library from a memory manufacturer
to the Xilinx/Modelsim Webpack environment. How does
one do this?

Try this using modelsim only.
Xilinx only supports xilinx devices with scripts.
You will have to do this one yourself.
Read and study the modelsim commands vlib, vmap, and vcom.
and all of their options.

vlib creates the directory
vmap tells modelsim where it is
vcom creates object files for vsim
It seems that Xilinx/ModelSim want to have all its libraries
in the "work" directory. The manufacturer want a GSI library
I suppose in the GSI directory, or can this also be in work.

use the vmap command.
There is also the issue of Xilinx ISE making an FDO file to
drive the Mentor Graphics ModelSim program.

Use modelsim manually. Write scripts later.
Then I have an issue of having two vhdl files sent by the
mfg. One seems clean and consist of a package declaration
and its functions. The other one, has references to a GSI
library, one that I was told to make. !? Is it possible that this
second file be compiled into an existing gsi library, perhaps
generated from compiling the first vhdl file( which does compile
in ModelSim) ?

Anything's possible.
Check with the vendor.
It's quite common to get no source, only binaries
for evaluation.

-- Mike Treseler
 
G

Guest

You can also add your library to the default modelsim.ini located in
ModelSim installation directory. Have a look to the way used by Xilinx
to declared their own VHDLlibraries. It's located somewhere in the
first 20 lines. By doing so, your VHDL library will be always visible
from ModelSim and you won't have to worry about how Xilinx ISE launches
ModelSim.

Eric
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,578
Members
45,052
Latest member
LucyCarper

Latest Threads

Top