Bidirectional (bus) delay help needed

N

Nicolas Matringe

Hi all
I have a VME bus model to which I would like to add backplane delays
between modules.
The problem is that most signals are bidirectional (as in every bus) and
I don't know how to model this:

Module A Module B Module C
X ---A to B--> X ---B to C--> X (at time T1, X driven by module A)
X <--B to A--- X ---B to C--> X (at time T2, X driven by module B)

Any help is welcome :eek:)
 
M

Marcus Harnisch

Hi Nicolas,

Regarding a very similar problem I found some information in the
archives of this newsgroup. In short: VHDL doesn't have a simple way
to model transmission (or transfer) gates (which would be the keywords
to search for).

However, a while back, someone posted a general description (IIRC, the
code he was referring to was copyrighted) of an algorithm to obtain
the desired behavior using a `postponed' process.

I never bothered to dig deeper into that matter since a) I was
restricted to VHDL'87 (in 2003!) and b) in my particular case, since I
was really only interested in one end of the transfer gate, it was
possible to simplify the problem to using a hardcoded data-eye and
home-brewn bidirectional buffer models instead of the ones from tha
ASIC library.

Hope that helps,
Marcus
 
N

Nicolas Matringe

Marcus Harnisch a écrit:
Hi Nicolas,

Regarding a very similar problem I found some information in the
archives of this newsgroup. In short: VHDL doesn't have a simple way
to model transmission (or transfer) gates (which would be the keywords
to search for).

Right, I found interesting posts in the NG archives.

However, a while back, someone posted a general description (IIRC, the
code he was referring to was copyrighted) of an algorithm to obtain
the desired behavior using a `postponed' process.

I found a link to some code written by Ben Cohen. Looks very interesting.


Hope that helps,

Yes it does :eek:)
Thanks a lot
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top