bidirectional bus problem

Joined
Apr 4, 2012
Messages
1
Reaction score
0
Hello all,

Could you please tell me the difference between two lines below:

sram_da <= write_data when (sram_read='0') else "ZZZZZZZZZZZZZZZZ";
sram_da <= "ZZZZZZZZZZZZZZZZ" (sram_read='1) else write_data;

I used the first line for reading from sram, but simulation didn't showed expected result (i have an sram vhld model also). After long hours of examination and trying, when i replaced it with second line, it was ok. But I can't understand why the first line is wrong.

I appreciate your help.

Regards.


--
 
Joined
Apr 6, 2012
Messages
1
Reaction score
0
Hi Fatihtir,
:listen:
what type is the sram_read signal in your project ?
If it is std_logic, then bear in mind that it can have 9 possible values
(besides '0' and '1', also 'H', 'L', '-', 'X', 'Z', 'U', 'W').
This might justify the resuts of your simulation (perhaps).
Regards.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Staff online

Members online

Forum statistics

Threads
473,756
Messages
2,569,535
Members
45,008
Latest member
obedient dusk

Latest Threads

Top