edif2ngd warning

D

Dan NITA

Hi all,



I'm using ispLEVER from Lattice.



When I try to synthesize a project which include a component with generics,
I get these warnings:



"WARNING - edif2ngd: Unsupported property width found"



How to avoid pollution with these warnings?



Thanks,

Dan.





component LoadableCounter is

generic (

width : integer

);

port (

CarryIn : in std_logic;

Load : in std_logic;

Clock : in std_logic;

Dir : in std_logic;

ClockEn : in std_logic;

DataIn : in std_logic_vector(width downto 0);

CarryOut : out std_logic;

DataOut : out std_logic_vector(width downto 0)

);

end component;







"Starting: 'C:\ispTOOLS5_0\ispfpga\bin\nt\edif2ngd.exe -l ep5g00 -d LFEC6E
"InputQuadrature.edi" "InputQuadrature.ngo"'



edif2ngd: version ispLever_v50_Production_Build (40)

Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.

Copyright (c) 1995 AT&T Corp. All rights reserved.

Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.

Copyright (c) 2001 Agere Systems All rights reserved.

Copyright (c) 2002-2005 Lattice Semiconductor Corporation, All rights

reserved.

WARNING - edif2ngd: Unsupported property width found - ignoring...

On or above line 209 in file InputQuadrature.edi

WARNING - edif2ngd: Unsupported property width found - ignoring...

On or above line 307 in file InputQuadrature.edi

WARNING - edif2ngd: Unsupported property width found - ignoring...

On or above line 421 in file InputQuadrature.edi

WARNING - edif2ngd: Unsupported property width found - ignoring...

On or above line 424 in file InputQuadrature.edi

Writing the design to InputQuadrature.ngo...



Done: completed successfully."
 
D

Dan NITA

cristian said:
Have you assign any value to 'width'?

Hi, cristian

Using syn_netlist_hierarchy attribute, the messages disappear.
...................
architecture archDriverIo of DriverIo is
attribute syn_netlist_hierarchy: boolean;
attribute syn_netlist_hierarchy of archDriverIo: architecture is false;
.....................

Now, the warnings that remains are "logical net XXXXXX has no load"
Does any one have any idea about how to avoid that?

WARNING - ngdbuild: logical net

'ComponentDriverIoGenerate_3_ComponentDriverIo_entity_InterfaceQuadratur
eCounter_component_sigCarry_8' has no load
WARNING - ngdbuild: logical net

'ComponentDriverIoGenerate_3_ComponentDriverIo_entity_procEvent_op_eq_un
12_sigrelativeabsolutebreakpoint_0_N_5' has no load
WARNING - ngdbuild: logical net

'ComponentDriverIoGenerate_3_ComponentDriverIo_entity_procEvent_op_eq_un
12_sigrelativeabsolutebreakpoint_0_N_6' has no load
WARNING - ngdbuild: logical net

Dan.
 
C

cristian

Dan,

I think the first warning is due to the fact you are not using the
carry output signal. The others have to be related to some internal
nodes that for some reason do not drive anything (they maybe are
associated to the carry signal, or to other unused signal)

rgds,

cristian
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top