Error message in Mapping while using Xilinx ISE 6.1.03i

S

Sachin

Hello,
I have a new project which I created in Xilinx ISE 6.1 which
accepts an edif top-level module type on virtex-II device family,
xc2v6000 Device, ff1517 package, speed grade -4. The edif file is
generated using SynplifyPro software.
The first step to translate the edif file works fine and
generates no error messages. But, when I go to the next step and I do
the mapping, I get the following error:

Started process "Map".

Using target part "2v6000ff1517-4".
FATAL_ERROR:DeviceResourceModel:basnpdevice.c:620:1.23 - bad nph file
Process
will terminate. To resolve this error, please consult the Answers
Database
and other online resources at http://support.xilinx.com. If you
need further
assistance, please open a Webcase by clicking on the "WebCase" link
at
http://support.xilinx.com
ERROR: MAP failed
Process "Map" did not complete.

Mapping Module fp_mul . . .
MAP command line:
map -intstyle ise -p xc2v6000-ff1517-4 -cm area -pr b -k 4 -c 100 -tx
off -o fp_mul_map.ncd fp_mul.ngd fp_mul.pcf
Mapping Module fp_mul: failed

Can anyone please give me an insight on what the problem
can be. I suspect there is a problem with ISE installation.

Thanks and Regards,
Sachin Gandhi
 
M

misu

hi,

I have the same problem and I coudn't find a solution yet. PLease HELP ...

Thanks and Regards,

Misu
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,767
Messages
2,569,572
Members
45,046
Latest member
Gavizuho

Latest Threads

Top