GHDL or FreeHDL?

G

Guillaume

Hi all,

does anyone have any experience with GHDL or FreeHDL?

If so, which one is, in your opinion, the best/more complete one?

Any other open-source tool available that you would know of?

Thanks!
 
N

narenkumaraguru

I had used GHDL without problems : but I didn't mean extensively. I
would suggest GHDL ..
Rgds,
Naren.
www.toomuchsemi.com
Specialising in EDA & Verification Services
 
T

Tuukka Toivonen

Hi all,

does anyone have any experience with GHDL or FreeHDL?

If so, which one is, in your opinion, the best/more complete one?

Any other open-source tool available that you would know of?

Yes, Savant. I have used it succesfully. Works quite nicely
if your code is bug-free, but if there are bugs, the symptoms
may be misleading (like segmentation fault...).

Last time I tried, FreeHDL was quite incomplete and Savant much better.
But that was couple of years ago.

As I have heard, GHDL is good, but I haven't tried it myself.
 
G

Guillaume

Tuukka said:
Yes, Savant. I have used it succesfully. Works quite nicely
if your code is bug-free, but if there are bugs, the symptoms
may be misleading (like segmentation fault...).

Yes, well I had seen Savant but it looks pretty much outdated and not
really maintained anymore. That would be a big no for me...
Besides, I just tried compiling it and it requires very old libraries
(from 1995) that don't even compile on my system. Oh well...
Last time I tried, FreeHDL was quite incomplete and Savant much better.
But that was couple of years ago.

Ok thanks. I have been able to compile FreeHDL and run some tests but
not enough for now to tell whether it is good or not. It doesn't seem
very actively maintained either...
As I have heard, GHDL is good, but I haven't tried it myself.

I've heard it lacked in some areas... but it may be the better tool
for now, yes.

I'm surprised that with initiatives like "Opencores" and the like,
there is no open tool that is really usable. On the "Opencores" site,
they do mention that they are in constant need of commercial tools.
Sounds like there would be a real need for a good open-source VHDL
tool...
 
F

Felix Bertram

Ok thanks. I have been able to compile FreeHDL and run some tests but
not enough for now to tell whether it is good or not. It doesn't seem
very actively maintained either...

FreeHDL is a university project. As such it depends on students to work
on it- which explains why progress is non-linear and slow.

GHDL works quite nice. It is good enough to compile DLX and real world
code. I have used it quite successfully for my work. Tristan is doing a
great job in maintaining the tool, fixing bugs and answering questions.

However:
- you will need to create dump files in order to interface with waveform
viewers such as GTKwave
- simulation is slow
- it does have some trouble compiling Xilinx vendor libraries



Hope this helps,
best regards

Felix
 
C

Colin Marquardt

[http://ghdl.free.fr]
- it does have some trouble compiling Xilinx vendor libraries

Last time I tried, I had to actually fix a bug in the unisim libs
(if you mean those) - they were using the same name for both an
enumeration value and a variable name IIRC. ghdl correctly
complained about that.

Cheers,
Colin
 
F

Felix Bertram

Colin said:
[http://ghdl.free.fr]
- it does have some trouble compiling Xilinx vendor libraries

Last time I tried, I had to actually fix a bug in the unisim libs
(if you mean those) - they were using the same name for both an
enumeration value and a variable name IIRC. ghdl correctly
complained about that.

you are right if you are referring to 7.1. Earlier versions of Unisim
produced a few more problems. What about the Xilinx libs that come with
8.1? Already tried these?



Best regards, Felix
 
A

Arnim Laeuger

Last time I tried, I had to actually fix a bug in the unisim libs
you are right if you are referring to 7.1. Earlier versions of Unisim
produced a few more problems. What about the Xilinx libs that come
with 8.1? Already tried these?

Just gave it a try yesterday on the unisim src files installed with
8.1.02. Same problem: variable names and enumeration values collide.
Compiled with GHDL 0.20.


Best regards

Arnim
 
F

Felix Bertram

Arnim,
Just gave it a try yesterday on the unisim src files installed with
8.1.02. Same problem: variable names and enumeration values collide.
Compiled with GHDL 0.20.

as I am working on a Mac (and therefore do not have Xilinx tools
installed on this machine): Could you please zip the Unisim files and
send them to me as a private email?

I will then try and post a Webcase for this.

Xilinx: anybody monitoring this group? Willing to do an easy bug fix?


Thank you,
best regards


Felix
 
W

Weng Tianxiang

Hi,
You may download Xilinx 8.1i version with ModelSim 6.0d for free from
Xilinx website.

I have used them since two months ago for my home projects and they
work perfectly as I am using non-free official versions of Xilinx and
ModelSim at my office.

ModelSim 6.0d has 10K source line limit. Xilinx 8.1i has limit on
device usages: Each type of chips has 1-3 variaties you can choose. The
general limit is that the number of LUTs in a chip is equal or less
than 10K.

So my advice is not to use others and download Xilinx free software for
a beginner or for a home project with no money to spend.

Weng
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top