ghdl poll

A

antonio bergnoli

I use ghdl for many time of my job. Of course i use another simulator
(e.g modelsim) but I think it's time to promote ghdl as the first tool
for simulation and verification. As I said some days ago, with ghdl, it
is possible to recompile both altera and xilinx phisical libraries and
then simulate post synthesis core.The author ( Tristan Gingold) is very
careful to the feedback from users( bugs or suggestions).

the website: http://ghdl.free.fr/
 
C

Charles, NG

I find it a great tool as well. The true-to-spec support for the
VHDL-language is a strong point.

I've successfully used it on some medium size AMBA subsystems where the
stimuli generator had a lot of horrible things like unconstrained types.
At the time, the other low-cost simulators I tried all failed on that one.

The VCD-dump in conjunction with a tool like gtkwave is good enough for
most purposes. I haven't got around to trying the new patch for gtkwave
with VHDL types yet.

It is also my experience that Tristan Gingold (the author) is very
responsive when problems crop up.

Regards,
Charles
 
A

antonio bergnoli

I find it a great tool as well. The true-to-spec support for the
VHDL-language is a strong point.

I've successfully used it on some medium size AMBA subsystems where the
stimuli generator had a lot of horrible things like unconstrained types.
At the time, the other low-cost simulators I tried all failed on that one.

The VCD-dump in conjunction with a tool like gtkwave is good enough for
most purposes. I haven't got around to trying the new patch for gtkwave
with VHDL types yet.

I also tried new wave format (ghw) and, apart of a bug (package wide
signals) that led gtkwave to segfault, but now it's closed) it works
very well.Now Gtkwave supports natively this new file format. The good
news are that also the perfomances will be improved in the next release
(0.21).
 
A

antonio bergnoli

Charles, NG ha scritto:
I find it a great tool as well. The true-to-spec support for the
VHDL-language is a strong point.

I've successfully used it on some medium size AMBA subsystems where the
stimuli generator had a lot of horrible things like unconstrained types.
At the time, the other low-cost simulators I tried all failed on that one.

The VCD-dump in conjunction with a tool like gtkwave is good enough for
most purposes. I haven't got around to trying the new patch for gtkwave
with VHDL types yet.
I also tried new wave format (ghw) and, apart of a bug (package wide
signals that led gtkwave to segfault, but now it's closed) it works
very well.Now Gtkwave supports natively this new file format. The good
news are that also the perfomances will be improved in the next release
(0.21).
 
P

Phil Tomson

I use ghdl for many time of my job. Of course i use another simulator
(e.g modelsim) but I think it's time to promote ghdl as the first tool
for simulation and verification. As I said some days ago, with ghdl, it
is possible to recompile both altera and xilinx phisical libraries and
then simulate post synthesis core.The author ( Tristan Gingold) is very
careful to the feedback from users( bugs or suggestions).

Yes, I would also like to add that Tristan is quite responsive to questions.


Has anyone done any benchmarking of ghdl vs. various commercial VHDL
simulators on a substantial design? I found ghdl's speed to be quite
adequate for what I was doing, but I'm not sure how it compares to ModelSim
for example.


Also: has anyone else played around with writing C-extensions (foreign
language models in C)? It's quite easy to do using ghdl since it is a gcc
frontend. This I think is one of ghdl's great benefits. The open source
nature of ghdl makes it possible to do a lot of things that are not possible
with a closed-source simulator. For example, I was able to embed Ruby in
a C extension so I could write models in
Ruby - I had some parts of the design written in VHDL, other parts in C and
still other parts in Ruby - I think that it should be possible to write testbenches
in Ruby (or at least functions that can be called from the testbench) using
this sort of setup with the advantage being that you can take
advantage of all of Ruby's file manipulation, regular expression and
networking capabilities (areas where VHDL is somewhat lacking ;-).

Phil
 
J

Jean-Christophe Le Lann

Le Sun, 11 Dec 2005 10:37:41 +0100, antonio bergnoli a écrit :
hi,
how many people here use *ghdl* as simulator?

I use it and I find it very good and usable (if speed is not your main
concern).

JCLL
 
A

Arnim Laeuger

I also tried new wave format (ghw) and, apart of a bug (package wide
signals) that led gtkwave to segfault, but now it's closed) it
works very well.

I encountered segfaults using ghw as well. Which versions of ghdl and
gtkwave fixed your problem?

BTW, count me in as a dedicated user of ghdl :) The project has made
impressive progress during the last two years. The integration in the
gcc suite enables instant line coverage reports using gcov.

My experience is restricted to small sized projects (designs with up to
3200 LEs plus RAM), but I am convinced that it has the potential to
handle larger designs.


Best regards

Arnim
 
A

antonio bergnoli

Today ghdl 0.21 is out; i'm already finished to compile xilinx Simprim
an Unisim libraries i can put here makefiles if anyone is interested.

Arnim Laeuger ha scritto:
I encountered segfaults using ghw as well. Which versions of ghdl and
gtkwave fixed your problem?

the last one;
BTW, count me in as a dedicated user of ghdl :) The project has made
impressive progress during the last two years. The integration in the
gcc suite enables instant line coverage reports using gcov.

I agree.
 
P

Phil Tomson

Today ghdl 0.21 is out;

I see the following line in NEWS file for the 0.21 release:
* simulation speed improved by 20% due to processes mngt optimizations.

That's good news.
i'm already finished to compile xilinx Simprim
an Unisim libraries i can put here makefiles if anyone is interested.

Yes, please post them (or better yet, put them on the web somewhere)

ciao!

Phil
 
B

bybell

If users come across ghw segfaults on current versions of gtkwave,
please don't just sit on them as support is new and there are probably
simple things like null pointer exceptions or bogus memory free()
conditions still lurking there. I don't use ghw at all myself so I
can't verify any such problems.

-t
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,048
Latest member
verona

Latest Threads

Top