Illegal concurrent statement

M

Massi

Hi all.
I've created some VHDL code, alla working.
The problem is that when i put together two or more blocks (as components) i
begin getting this error from modelsim.
Cannot understand why..
I don't even know where to search the error.. i get this error also in this
line

if BININ(BININ'LEFT) = '1' then

no assignment, just reading a value..
so, what have i to do?

thanks SO much
bye
 
M

Massi

Ajeetha said:
if..then..else is a sequential construct allowed only inside a prcoess
in VHDL.

cannot believe that, tonight i got the solution lol
i changed the if then else to a conditioned assignment

signal <= this when this olse
this;

and all works

i can just say that vhdl entered my nightmares :)
 
J

jetq88

no nightmare there, if you use
signal <= this when this olse
you use concurrent assignment, it works

if you use
if..then..else
used in a process.

hope this will help
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,766
Messages
2,569,569
Members
45,043
Latest member
CannalabsCBDReview

Latest Threads

Top