Instantiation of lots of the some component

C

Cor van Loos

For a very simple design I need to instantiate a lot of components. Only
two of the I/O signals of these components differ. Is there a smart way
to do this in VHDL or do I have to make a 100 copies to instatiate 100
components?


Cor
 
M

Mike Treseler

Cor said:
For a very simple design I need to instantiate a lot of components. Only
two of the I/O signals of these components differ. Is there a smart way
to do this in VHDL or do I have to make a 100 copies to instatiate 100
components?

Instances will work, but consider using a FOR loop.

-- Mike Treseler
 
C

cas7406

Mike said:
Instances will work, but consider using a FOR loop.

-- Mike Treseler

Cor,
I'd use GENERATE:
-- instantiate basic_9x9 four times
generate_4_9x9:
FOR i IN 0 to 3 GENERATE
m0: basic_9x9 port map(data(i*2), data(i*2+1), rst_0, clk,
result_mult(i));
END GENERATE;

cristian
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,020
Latest member
GenesisGai

Latest Threads

Top