Libraries, packages and synthesis problems!

M

Michael Nicklas

Hi

I am trying to synthesise a bit of code which uses a package called
text_util.

The library declarations are as follows:

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use std.textio.all;
use txt_util.all;


when I synthesise it (using Xilinx XST in ISE 6.1sp2) I get the following
error:

ERROR:HDLParsers:3014 -
//s01/projects/tcs/tcs_02/TCS_02_VHDL_projects/ping_simulation_201103/SPARTA
N.vhd Line 11. Library unit txt_util is not available in library work.


I have checked the solution record on the Xilinx support site and it suggest
that this error would be fixed in service pack 2, obviously this is not the
case it was referring to specifically!

Can anyone tell me what the procedure is for including packages in libraries
please?

Do I have to compile this text_util package to another library?

Do I have to create a user library?

Any suggestions greatly appreciated!


Thanks in advance


Mike Nicklas
 
G

ghsdf

Put the package text_util in your working directory (i.e.where all your VHDL
is kept for that project)
Then call the package like so:

use WORK.text_util.all;

Hope this helps.
Matt
 
T

Tero Kapanen

Hi, Michael,

Include txt_util.vhd to your project and use line:
use work.txt_util.all;
instead of line:
use txt_util.all;

- tero
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads

Local packages 8
Synthesis Only 10
Unconstrained integers and synthesis 9
problem with ise 10 synthesis 1
and operator overloading 0
floatfixlib synthesis 0
Synthesis 0
Using numeric_std packages 4

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top