Model Simulation

S

swiss student

Dear All

I am not very familiar with Model Sim tool, I have to simulate a
structural description of a design. I am done with the coding part I
compiled and luckily there are no errors but Now I want to simulate
this VHDL code.

I tried to follow the Help manual available with the model sim help
option ....I trid to creat a clock as was mentioned in the manual
--------------force clk 1 50, 0 100 -repeat 100 and then i followed the
steps as was mentioned in the manual....

my prob now is that the wave window does not show any clock signal at
all ...it has though added the clk ,rest ..input output and other
signal but it shows clock and other signls as U

so can soem one please tell how can I see the clock wave in the wave
window r let me know wat is teh mistake i am doing now ,,,,

thnks in advance for ur help

Suresh
 
A

Andy Peters

swiss said:
Dear All

I am not very familiar with Model Sim tool, I have to simulate a
structural description of a design. I am done with the coding part I
compiled and luckily there are no errors but Now I want to simulate
this VHDL code.

I tried to follow the Help manual available with the model sim help
option ....I trid to creat a clock as was mentioned in the manual
--------------force clk 1 50, 0 100 -repeat 100 and then i followed the
steps as was mentioned in the manual....

my prob now is that the wave window does not show any clock signal at
all ...it has though added the clk ,rest ..input output and other
signal but it shows clock and other signls as U

so can soem one please tell how can I see the clock wave in the wave
window r let me know wat is teh mistake i am doing now ,,,,

You need to learn how to write a real test bench.

-a
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top