ModelSim Error

U

u_stadler

hi

could somebody help me with this error message i get from model sim se?
i'm trying to simulate (post place and route) my design and get the
following error message:
"error code: Failure: (vsim-3807) Types do not match between component
and entity for port key_input"

My signal key_input is a integer right now (normally it is my own data
type but i changed it because i thought perhaps models sim can't handel
that). if i change it to std_logic i can simulate.
the behavioral simulation works just fine even with my datatype.
i checked my design module and the test bench and the datatypes are the
same in the entity and the component declaration.
if it helps i can post the source.
any ideas somebody?

thanks
 
A

Andy Peters

hi

could somebody help me with this error message i get from model sim se?
i'm trying to simulate (post place and route) my design and get the
following error message:
"error code: Failure: (vsim-3807) Types do not match between component
and entity for port key_input"

My signal key_input is a integer right now (normally it is my own data
type but i changed it because i thought perhaps models sim can't handel
that). if i change it to std_logic i can simulate.
the behavioral simulation works just fine even with my datatype.
i checked my design module and the test bench and the datatypes are the
same in the entity and the component declaration.
if it helps i can post the source.
any ideas somebody?

An integer is not the same at all as a std_logic (do you really mean
std_logic_vector?).

Make sure the signal has the same type everywhere : in the test bench
instance, in the component declaration, in the entity declaration.
make sure you compile from the bottom up, and make sure you select the
proper configuration (if applicable).

-a
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,534
Members
45,008
Latest member
Rahul737

Latest Threads

Top