Problem simulating Xilinx CoreGenerator Cores with ModelSim SE 5.8C.

J

Jeremy Webb

Hi.

I'm having issues simulating my design that uses a Xilinx
CoreGenerator core. I imported the XilinxCoreLib directory, but
ModelSim doesn't recognize the files as a library. Does anyone know if
Xilinx provides a pre-compiled ModelSim library similar to those for
unisim and simprim? Or, has anyone successfully simulated a Xilinx
Core in VHDL, for example a fifo?

Thanks,

Jeremy
 
J

jtw

Yes, Xilinx has a simulation library for CoreGen. The quickest way to get
the correct answer would be to look on their web site. (I am at home and
don't have quick access to the directory structure to tell you where to find
what.) Actually, since it seems you've done the same thing with unisim
and/or simprim, you should be able to find the source very quickly. Yhey
are all in the same area; they all use the same command/script--just
different arguments for the compile.

Jason
 
J

Jeremy Webb

Hi.

I'm having issues simulating my design that uses a Xilinx
CoreGenerator core. I imported the XilinxCoreLib directory, but
ModelSim doesn't recognize the files as a library. Does anyone know if
Xilinx provides a pre-compiled ModelSim library similar to those for
unisim and simprim? Or, has anyone successfully simulated a Xilinx
Core in VHDL, for example a fifo?

Thanks,

Jeremy

Hi.

Nevermind. I figured it out. To be able to use the Xilinx Core's in
ModelSim SE/PE you have to compile the XilinxCoreLib directory using
COMPXLIB either from the command line or within ISE Project Navigator.
The end result is a new directory with library information that
ModelSim SE/PE can interpret. Once I did this, I was able to simulate
all of my Xilinx cores correctly.

Thanks,

Jeremy
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,007
Latest member
obedient dusk

Latest Threads

Top