Problem with Fix_std

L

Luca

Hi people,

we are using this fix_std library, but we have a problem with the
assignament. If we use local variables, it works right... but if we use
global signals, the value doesn't change and remain "UUUU...".

Why?

Thanks to all!!!

Bye
 
J

Jonathan Bromley

we are using this fix_std library, but we have a problem with the
assignament. If we use local variables, it works right... but if we use
global signals, the value doesn't change and remain "UUUU...".

hi Luca,

if you're talking about my fix_std package, downloaded from
doulos.com, then your best recommendation is: Don't.

I stopped work on it when the VHDL-200x standards group released
David Bishop's much more comprehensive packages. There are
several significant bugs in fix_std. The few people who used it in
the early days, and who kept in contact with me, were informed
about the worst of the bugs and given fixes. To be honest I
should have removed it completely from the website, but it was
never part of my core work and I'm sorry to say I haven't given
it any attention.

I'm not aware of any problem with fix_std that gives different
behaviour with variables and signals; if you post a code fragment
then perhaps we can try to comment, as Ajeetha said.

Thanks for the reminder; I'll take down the obsolete code from the
website as soon as I get back to the office next Thursday.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
(e-mail address removed)
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,763
Messages
2,569,562
Members
45,039
Latest member
CasimiraVa

Latest Threads

Top