processor bus tristate at two places

  • Thread starter praveen.kantharajapura
  • Start date
P

praveen.kantharajapura

Hi,

I have my VHDL modules which access the processor bus.
I have one more third party core wher in at also uses the processor bus
, now i have no access to their source code.

Now my doubt is how to go about integrating these two since the
tristaing of the processor bus should happen at only one place.

Any innovative soultion?? OR is it really possible or not.

Regards,
Prav
 
R

radarman

What part are you targetting. Most modern parts no longer support true
*internal* tri-state drivers (and by extension, busses). In these
parts, you will get more mileage by creating an "or" structure, where
the modules output zero's when not selected, and you just OR all the
bus bits together. (ie. bus_0(0) or bus_1(0) or bus_2(0)...) The
downside is that now you can get some serious combinational latencies -
limiting the number of drivers on the bus.

Either way, I would suggest creating two busses - one for writes and
one for reads. The write bus is generally very simple, while the read
bus requires some effort - but the total effort is less than trying to
combine them.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,756
Messages
2,569,535
Members
45,007
Latest member
OrderFitnessKetoCapsules

Latest Threads

Top