VHDL 2005, VHDL93 and FPHDL

V

vijay

Hi all,
I need to use floating point datatypes in my project. fphdl(by David
Bishop) seems very promising to me.
I am using ModelSim5.7-XE(XILINX EDITION).

1) Is VHDL200x is a new library? if yes, is it available with Vendors?

2) I have downloaded the zip files available at
http://www.eda.org/vhdl-200x/vhdl-200x-ft/packages/files.html

DO i need to compile all files in this ZIP folder ?

Thanks in advance
 
M

Mike Treseler

vijay said:
1) Is VHDL200x is a new library? if yes, is it available with Vendors?

Some vendors. Download trial software and see.
See also:
http://www.accellera.org/apps/group_public/documents.php?wg_abbrev=vhdl
2) I have downloaded the zip files available at
http://www.eda.org/vhdl-200x/vhdl-200x-ft/packages/files.html

DO i need to compile all files in this ZIP folder ?

You only *need* to compile the files containing
the function you are interested it.

Vendors precompile everything for supported libraries.

-- Mike Treseler
 
J

Jim Lewis

Vijay,
See the user guides that you downloaded with the files.
If you did not get user guides, download the latest version.

I did a paper recently at a conference on these types and it
includes some hints for library creation and compiling the
files. You can find the paper at:
http://www.synthworks.com/papers

Cheers,
Jim
Hi all,
I need to use floating point datatypes in my project. fphdl(by David
Bishop) seems very promising to me.
I am using ModelSim5.7-XE(XILINX EDITION).

1) Is VHDL200x is a new library? if yes, is it available with Vendors?

2) I have downloaded the zip files available at
http://www.eda.org/vhdl-200x/vhdl-200x-ft/packages/files.html

DO i need to compile all files in this ZIP folder ?

Thanks in advance


--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training mailto:[email protected]
SynthWorks Design Inc. http://www.SynthWorks.com
1-503-590-4787

Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 
Joined
May 27, 2016
Messages
2
Reaction score
0
Hello! I am trying to use the FPHDL package but I cannot find it anywhere! Do you have any suggestions regarding where I can find it? I would really appreciate it!

Thanks a lot!!! :D
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,763
Messages
2,569,563
Members
45,039
Latest member
CasimiraVa

Latest Threads

Top