VHDL-200x-ft packages

D

David Bishop

I have placed the latest release of the VHDL-200X-ft package
(the packages that will be in the next release of the VHDL language)
into:
http://www.eda.org/vhdl-200x/vhdl-200x-ft/packages/files.html

For this release, I have also made a VHDL-93 compatible version
of these packages:
http://www.eda.org/vhdl-200x/vhdl-200x-ft/packages/additions_11.tar.Z
In this file you will find a "README" with the names of the new
functions and a brief description of what they do. These packages are
designed to be compiled into an "ieee_proposed" library. For
numeric_std and std_logic_1164 I created "numeric_std_additions" and
"std_logic_1164_additions" which are dependent on the default packages.

I will be posting PDF versions of the fixed point and floating point
package documentation soon.

These packages are ready to use NOW. I hope you will find them
valuable. I have the "ieee_proposed" library compiled for several
tools, and already in some designs.

David Bishop - (e-mail address removed)
 
Joined
Sep 2, 2008
Messages
1
Reaction score
0
I guess this make me a noob

Hi M. Bishop, I've download your vhdl-200x-pkgs_18 and it seems that I'm not able to compile the library to be able to use them.

I was hoping you could give me some clue.

Thanks

Luc-André Grégoire

P.S. : My poor english can is due to me been frensh
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top