VHDL Basic Question: bit_vector to integer variable

Joined
Apr 7, 2015
Messages
1
Reaction score
0
So, I just started working with VHDL, I have experience in C++ mostly but it doesn't help much^^
BTW Didn't know where else to post a VHDL question x_x

I have to write a function where I get a bit_vector(7 downto 0) and turn it into an integer variable. The bit_vector is in that case a binary number. I would like to do it without some IEEE or other library, if that is possible. I thought of getting the bit_vector entrys seperatly and then multiply it with 2^(vector position). But I do not know, how i get the bit variable into an integer variable, so that i can multiply it.

Maybe someone has an idea?^^
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,007
Latest member
obedient dusk

Latest Threads

Top