vhdl code plz

P

pavani_19

hi everyone,
I am trying to write a code in vhdl to implement on xilinx spartan 3
board.The code I have to develop is, the count should be incremented from
min value only when the button1 on the spartan board is pressed for a long
time.When i stopped pressing the button the count should be stopped at
some value(which is not the max. value).later when i pressed the button2
for a long time then the count should be decremented from that value(the
value where i stopped pressing the button1)untill i stopped pressing the
button.kindly plz help me by providing the code for this problem.
I would appreciate if anyone of u poat me the code for this problem
thank you in advance
sneha
 
B

Benjamin Todd

Are you doing the same course as pleg earlier? Hahaha. You might want to ask
him for some ideas.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,008
Latest member
HaroldDark

Latest Threads

Top