VHDL Simulation in ModelSim

M

Manfred Balik

I want to simulate my Quartus II 2.2 project in ModelSim-Altera 5.6a.
I have already solved the problems to compile the files, but if I try to
simulate I'll get this warning:

# ** Warning: (vsim-3473) Component 'dcfifo_component' is not bound

This component is an Altera DualClockFIFO-Megafunction.

Can someone help me?
Thanks, Manfred
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,743
Messages
2,569,478
Members
44,899
Latest member
RodneyMcAu

Latest Threads

Top