VHDL verification using Python via VHPI

C

Chris Higgs

Greetings!

I thought you might be interested to know that Cocotb now includes native support for VHDL simulation using VHPI to interact with the simulator.

For those not familiar with Cocotb, it's an open-source project that uses Python to provide a powerful framework for functional verification of RTL designs. The RTL to be tested hangs in free space in the simulator and all stimulus and checking is performed from within Python.

For more information have a look at the documentation[1] or examples on EDAPlayground[2].

For anybody in the UK, I'll be presenting at the NMI FPGA Verification event tomorrow[3] to share my experience of using Cocotb on commercial projects..

Thanks,

Chris


[1] https://cocotb.readthedocs.org

[2] http://www.edaplayground.com/s/example/106

[3] http://www.nmi.org.uk/events/event-details//FPGA1Q14
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,023
Latest member
websitedesig25

Latest Threads

Top