visualise"type" in wave window

C

Clunixchit

Hello there,
in my .vhdl file, i have declared a type, such as:
type state is (wait0, wait1, wait2, wait3);
signal state : actual_state;

how can I visualise it in the wave window of modelsim ?

regards
Chitlesh
 
C

Clunixchit

For a vhdl testbench, it just works if I add wave.

vsim my_tb
add wave *
run

Thanks but it wasn't what i was looking for.
Actually my type "state" wasn't written in the testbench.

I've found out how to do so.

Solution:
view -> structure
(select the architecture)
view signals
(select state)
right click, add to waves (selected)

regards,
Chitlesh
 
M

Mike Treseler

Clunixchit said:
Solution:
view -> structure
(select the architecture)
view signals
(select state)
right click, add to waves (selected)

Yes, or

add wave -r /*

at the prompt.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,756
Messages
2,569,535
Members
45,008
Latest member
obedient dusk

Latest Threads

Top