What's new in VHDL-2002?

N

Nicolas Matringe

Hello all
I've just discovered that ModelSim 5.8c is by default in VHDL-2002 mode.
Is there any reference, any "what's new in VHDL-2002" list somewhere?
I've just made a quick search but didn't find anything interesting.
Thanks in advance
 
J

Jim Lewis

Nicolas,
Not alot. Protected types. Buffer can now connect to
an out port. I think one more, but can't remember.

The current effort, VHDL-200X, does offer significant
changes. For more information see:
http://www.eda.org/vhdl-200x/

Cheers,
Jim


Hello all
I've just discovered that ModelSim 5.8c is by default in VHDL-2002 mode.
Is there any reference, any "what's new in VHDL-2002" list somewhere?
I've just made a quick search but didn't find anything interesting.
Thanks in advance


--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training mailto:[email protected]
SynthWorks Design Inc. http://www.SynthWorks.com
1-503-590-4787

Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 
P

Paul Butler

Jim Lewis said:
Nicolas,
Not alot. Protected types. Buffer can now connect to
an out port. I think one more, but can't remember.

Speaking of protected types:

For my current testing, I connect the test to the testbench components
through queues. I cannot safely simultaneously push and pop the queue
because VHDL '93 doesn't define the behavior of simultaneous accesses to a
shared variable (which I use to store the queue's head and tail access
values). Protected types do just what I need.

Modelsim does not support protected types and I'm upset by their claim to
support VHDL 2002. Apparently IEEE let's vendors claim any compatibility
they please without proof.

(e-mail address removed)
Austin, TX
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,901
Latest member
Noble71S45

Latest Threads

Top