Xilinx BRAM Init VHDL formats

B

Brad Smallridge

Hello,

What other formats are available for intitializing Xilinx BRAMs?

The library guide only shows this:

INIT_00 : bit_vector(255 downto 0) :=
X"0000000000000000000000000000000000000000000000000000000000000000";

Which is a Hexadecimal, right to left format.

Thanks,

Brad Smallridge
 
W

Weng Tianxiang

Brad said:
Hello,

What other formats are available for intitializing Xilinx BRAMs?

The library guide only shows this:

INIT_00 : bit_vector(255 downto 0) :=
X"0000000000000000000000000000000000000000000000000000000000000000";

Which is a Hexadecimal, right to left format.

Thanks,

Brad Smallridge
INIT_00 : bit_vector(255 downto 0) := (others=>'0');

Weng
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Members online

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,050
Latest member
AngelS122

Latest Threads

Top