Alias problem

Joined
Dec 7, 2009
Messages
2
Reaction score
0
I would like to define an array.
16-bit 32-bit elements.
This works well.

I would like to name the first 8 elements in the array to another array of items, and other elements of an array of registers.

Code:
type ARR is array (15 downto 0) of std_logic_vector(31 downto 0);
signal regs : ARR;

alias  w: ARR is regs(7 downto 0);
alias aa: is regs(8);
alias ab: is regs(9);
alias ac: is regs(10);
.
.
alias ag: is regs(15);
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top