comment gérer le RS232 en vhdl ?

A

Arnaud

j'utilise le RS232 en sortie de mon programme VHDL ?
vous avez des conseils a me donner ?
comment faire pour gérer le RS232 en VHDL ?

je m'y connais pas trop en VHDl mais je suis obligé de faire ça.


Merci
 
J

Jonathan Bromley

j'utilise le RS232 en sortie de mon programme VHDL ?
vous avez des conseils a me donner ?

un liaison RS232 exige un niveau de tension au moins +/- 5V
et c'est impossible en VHDL tout court. Vous avez besoin d'un
puce "line interface" (voir MAX222, etc).
comment faire pour gérer le RS232 en VHDL ?

Utiliser Google, chercher "VHDL UART". Vous en y trouvez
des milliers.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,773
Messages
2,569,594
Members
45,120
Latest member
ShelaWalli
Top