Feedback mux created for signal data

V

valentin tihomirov

Sinplify shows the following warnings

Feedback mux created for signal data[1:0]. Did you forget the set/reset
assignment for this signal?
Feedback mux created for signal data[3:0]. Did you forget the set/reset
assignment for this signal?
Feedback mux created for signal data[4:0]. Did you forget the set/reset
assignment for this signal?
Feedback mux created for signal data[7:0]. Did you forget the set/reset
assignment for this signal?



on this code:


architecture RTL of SHIFT_REGISTER is
signal DATA: STD_LOGIC_VECTOR(SIZE-1 downto 0);
begin
REG: process (CLK, RESET)
variable BitCnt: Integer range 0 to 8;
begin
if RESET = '1' then -- WARNINGS on this line
null;
DATA <= (others => '-');
elsif Rising_Edge(CLK) then
if ENABLE = '1' then
if LOAD = '1' then

-- VHDL not working for SIZE=1
-- DATA <= SIN & DATA (SIZE - 1 downto 1);

if SIZE = 1 then
DATA(0) <= SIN;
else
DATA <= SIN & DATA (SIZE - 1 downto 1);
end if;

end if;
end if;
end if;
end process REG;

POUT <= DATA;

end RTL;
 
T

trican

Is this because you dont specify what what happens when enable and loa
equal 0. Though I could be wrong
 
T

Thomas Stanka

valentin tihomirov said:
if RESET = '1' then -- WARNINGS on this line
null;
DATA <= (others => '-');

Why do you use the null statement?

It seems that your synthesis tool didn't come along with reset to
don't-care. So use a dedicated set or reset. I bet your results will
be fine than.

bye Thomas
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,055
Latest member
SlimSparkKetoACVReview

Latest Threads

Top