How can I initialise values in a process???

M

MNQ

Hi All,

I am trying to find out how I can set my initial value of BUSY in the
process below to '1' i.e. at T=0 for when power is first applied to my
device (CPLD XC2C384).

My process is as follows:-

busy_FF : process (busy_clock, reset, buf_busy)
begin
if reset ='1' then
busy <= '1';
elsif busy_clock='1' and busy_clock'event then
busy <= not buf_busy;
end if;
end process busy_FF;


Thanks for any help

Naveed
 
J

Just an Illusion

In simulator ?
Write a testbench which instantiate your component, and do a reset
(reset=1) at start.

JaI
 
J

Just an Illusion

Hi Naveed,

The only way ;-( that I know to ensure it, it's generate a hardware
reset just after, or during, power up. Some different techniques work,
for bigger circuit, then it must be possible todo it with a cpld too ;-)

You can play with 'pull-up' transistor directly on spice netlist, but I
am not sure that CPLD take it into account.

Have a fun ;-)
JaI
 
Last edited by a moderator:
T

Tim Hubberstey

MNQ said:
Hi All,

I am trying to find out how I can set my initial value of BUSY in the
process below to '1' i.e. at T=0 for when power is first applied to my
device (CPLD XC2C384).

My process is as follows:-

busy_FF : process (busy_clock, reset, buf_busy)
begin
if reset ='1' then
busy <= '1';
elsif busy_clock='1' and busy_clock'event then
busy <= not buf_busy;
end if;
end process busy_FF;

The normal way would be through application of the reset signal --
that's what it's for.

Coolrunner devices go through an initialization phase at power-up where
they copy their flash contents to internal RAM cells. I would expect
that you should be able to specify, somehow, what you want the register
values to be following initialization (I don't know this for sure). I
took a quick look at this and the answer isn't readily obvious so this
is a question for Xilinx, or you might try in comp.arch.fpga.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,776
Messages
2,569,602
Members
45,182
Latest member
BettinaPol

Latest Threads

Top