how using files as input and outputs

B

beginner_vhdl

hi everybody,
i want to use files as input and an output for my vhdl program
for example as a program i have :
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity entier is
Port ( clk : in STD_LOGIC;
en : in STD_LOGIC_VECTOR (7 downto 0);
sor : out STD_LOGIC_VECTOR (7 downto 0)
);
end entier ;

architecture Behavioral of entier is
begin
sor <= en;
end Behavioral;
 
C

chuck10000

Hi Beginner_vhdl,

I am wondering what you are really trying to do?
Which files are you talking about?

Do you mean that you would like to simulate your entity on a computer
before using it on your hardware target?

Regards,
Chuck.
bananASK me all your questions on http://www.bananask.com/ask_Chuck123
 
B

beginner_vhdl

Hi Beginner_vhdl,

I am wondering what you are really trying to do?
Which files are you talking about?

Do you mean that you would like to simulate your entity on a computer
before using it on your hardware target?

Regards,
Chuck.
bananASK me all your questions onhttp://www.bananask.com/ask_Chuck123
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top