I like this access type example

  • Thread starter James Unterburger
  • Start date
J

James Unterburger

It doesn't really do a whole lot.


entity e is
end;

architecture a of e is
type ptr;
type ptr is access ptr;
begin
process
variable p : ptr;
variable top : ptr;
begin
top := new ptr;
p := top;
for i in 1 to 100 loop
p.all := new ptr;
p := p.all;
end loop;
wait;
end process;
end;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top