Making library for FPHDL

V

Ved

Hi
I want to generate the IEEE_PROPOSED library using Modelsim6.1 .
I can see an "compile.mti" file, but dont know how to use it.

I searched but could not found anything about .mti file. Macros have to
be in .do format.
How do I use "compile.mti" than ?

THanks
 
M

Mike Treseler

Ved said:
I want to generate the IEEE_PROPOSED library using Modelsim6.1 .
I can see an "compile.mti" file, but dont know how to use it.

Step one is look at in an editor.
I searched but could not found anything about .mti file. Macros have to
be in .do format.

Modelsim doesn't really care about the name.
..do just lets the gui list them for you.
it can be .do .tcl .mti or .eieio
How do I use "compile.mti" than ?

If it is indeed a vsim script, that would be.

vsim -c mytb -do compile.mti

-- Mike Treseler
 
D

David Bishop

Ved said:
Hi
I want to generate the IEEE_PROPOSED library using Modelsim6.1 .
I can see an "compile.mti" file, but dont know how to use it.

compile.mti is a compile script, just run it.

If it won't run, bring it up in an editor and run the compile commands
individually.
 
V

Ved

Hi David,
I tried to create the library by usual method in modelsim, and got
huge list of errors, a part of which I am posting below.
Please point out the fault in the process I am following.

-------------------------Log----------------------------
vcom -reportprogress 300 -work ieee.lib
C:/ModelSim_Development/vhdlFPHDL/vhpi_pkg_body.vhd
# Model Technology ModelSim SE vcom 6.0a Compiler 2004.09 Sep 24 2004
# -- Loading package standard
# -- Compiling package body vhpi
# -- Loading package vhpi
vcom -reportprogress 30 -work ieee.lib
C:/ModelSim_Development/vhdlFPHDL/fixed_synth.vhd
# Model Technology ModelSim SE vcom 6.0a Compiler 2004.09 Sep 24 2004
# -- Loading package standard
# -- Loading package textio
# -- Loading package std_logic_1164
# ** Error: (vcom-11) Could not find ieee.lib.numeric_std.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/fixed_synth.vhd(12):
Cannot find expanded name: ieee.numeric_std.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/fixed_synth.vhd(12):
Unknown record element "numeric_std".
# ** Error: (vcom-11) Could not find ieee.lib.fixed_pkg.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/fixed_synth.vhd(13):
Cannot find expanded name: ieee.fixed_pkg.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/fixed_synth.vhd(13):
Unknown record element "fixed_pkg".
# ** Error: C:/ModelSim_Development/vhdlFPHDL/fixed_synth.vhd(14): VHDL
Compiler exiting
vcom -reportprogress 30 -work ieee.lib
C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg.vhd
# Model Technology ModelSim SE vcom 6.0a Compiler 2004.09 Sep 24 2004
# -- Loading package standard
# -- Loading package textio
# -- Loading package std_logic_1164
# ** Error: (vcom-11) Could not find ieee.lib.fixed_pkg.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg.vhd(16):
Cannot find expanded name: ieee.fixed_pkg.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg.vhd(16):
Unknown record element "fixed_pkg".
# ** Error: (vcom-11) Could not find ieee.lib.float_pkg.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg.vhd(17):
Cannot find expanded name: ieee.float_pkg.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg.vhd(17):
Unknown record element "float_pkg".
# ** Error: C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg.vhd(19):
VHDL Compiler exiting
vcom -reportprogress 30 -work ieee.lib
C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg_body.vhd
# Model Technology ModelSim SE vcom 6.0a Compiler 2004.09 Sep 24 2004
# -- Loading package standard
# ** Error: (vcom-11) Could not find ieee.lib.numeric_std.
# ** Error:
C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg_body.vhd(16): Cannot
find expanded name: ieee.numeric_std.
# ** Error:
C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg_body.vhd(16): Unknown
record element "numeric_std".
# -- Loading package math_real
# ** Error: (vcom-11) Could not find ieee.lib.fixed_alg_pkg.
# ** Error:
C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg_body.vhd(18): Cannot
find expanded name: work.fixed_alg_pkg.
# ** Error:
C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg_body.vhd(18): Unknown
record element "fixed_alg_pkg".
# ** Error:
C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg_body.vhd(19): VHDL
Compiler exiting
vcom -reportprogress 30 -work ieee.lib
C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg_body_real.vhd
# Model Technology ModelSim SE vcom 6.0a Compiler 2004.09 Sep 24 2004
# -- Loading package standard
# -- Loading package math_real
# -- Compiling package body float_alg_pkg
# ** Error: (vcom-11) Could not find ieee.lib.float_alg_pkg.
# ** Error:
C:/ModelSim_Development/vhdlFPHDL/float_alg_pkg_body_real.vhd(12): VHDL
Compiler exiting
............
...........
..........
...........
 
D

David Bishop

Ved said:
Hi David,
I tried to create the library by usual method in modelsim, and got
huge list of errors, a part of which I am posting below.
Please point out the fault in the process I am following.
# ** Error: (vcom-11) Could not find ieee.lib.numeric_std.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/fixed_synth.vhd(12):
Cannot find expanded name: ieee.numeric_std.
# ** Error: C:/ModelSim_Development/vhdlFPHDL/fixed_synth.vhd(12):

I see the problem.

"fixed_synth" was designed as a testcase for the IEEE versions of the
libraries.

1) get the fixed_pkg_c.vhdl
go here:
http://www.vhdl.org/vhdl-200x/vhdl-200x-ft/packages/files.html
(http://www.vhdl.org/vhdl-200x/vhdl-200x-ft/packages/fixed_pkg_c.vhdl)
Because the eda.org site has been hijacked.

compile it into your "work" library.

Modify the "fixed_synth.vhd" to use "work.fixed_pkg.all", not IEEE, and
it should work.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top