Modelsim and Warning: NUMERIC_STD.TO_INTEGER: metavalue detected

O

Olaf

Hi,

during my simulation I get the warning above. Anyway I know what it
means and that is a result of an initializing problem on simulation (and
even using std_logic ...). To be concrete it occours at t = 0 and t =
Clk/2. The 2nd warning I get rip up by initialitzing some internal
architectures signals to '0'.

Anyway, is there a way to ommit this warnigns at special times, e.g. for
t=0 ?? It may confuse me on 'bug hunting' ;-)

Thanks,
Olaf
 
T

Tricky

Hi,

during my simulation I get the warning above. Anyway I know what it
means and that is a result of an initializing problem on simulation (and
even using std_logic ...). To be concrete it occours at t = 0 and t =
Clk/2. The 2nd warning I get rip up by initialitzing some internal
architectures signals to '0'.

Anyway, is there a way to ommit this warnigns at special times, e.g. for
t=0 ?? It may confuse me on 'bug hunting' ;-)

Thanks,
Olaf

You cannot suppress warnings at given times.

There are 2 options for you:
1. Give the unsigned/signed value(s) that are giving the problem
initial values
2. in modelsim go to Simulate -> Runtime Options -> Suppress warnings
from IEEE numeric Std Packages. This also blocks the warnings if
you're converting an integer that's too big for the array (which is
then truncated). It wont supress warnings from when you try and
convert a -ve integer into an unsigned though.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top