modelsim, v93, write to file

T

tami

I'm using this procedure :

procedure write_file(int1 : in integer;variable output_file : out
TEXT) is
variable output_line : LINE;
begin
write(output_line,int1);
writeline(output_file,output_line);
end;

with ncsim, v93 everything is fine.
with modelsim however, I'm getting an error :

** Error: generic_lib.vhd(1619): Actual for formal f is not a file.
(line 1619 is << writeline(output_file,output_line); >> )

Any idea ?
please reply to tami.baumer @conexant.com
 
M

Mike Treseler

tami said:
** Error: generic_lib.vhd(1619): Actual for formal f is not a file.
(line 1619 is << writeline(output_file,output_line); >> )

Let's see your code for the file_open.
Something like.

file_open(my_file, file_name, write_mode);


-- Mike Treseler
 
T

tami

Mike said:
Let's see your code for the file_open.
Something like.

file_open(my_file, file_name, write_mode);


-- Mike Treseler

Mike
I'm using the file_open command elsewhere. The procedure mentioned
above is part of a package (library) called by the main test bench.

Still, can't compile the package itself.
Tami
 
M

Mike Treseler

tami said:
Still, can't compile the package itself.

It compiles for me, but I get:

** Warning: [10] /evtfs/home/tres/vhdl/play/write_file.vhd(15):
(vcom-1135) Subprogram parameter output_file is declared using VHDL 1987
syntax.

Either update to -93 syntax or compile with -87.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,055
Latest member
SlimSparkKetoACVReview

Latest Threads

Top