Precision and VHDL2008

H

HT-Lab

Hi All,

For those that use Precision, the latest release has a bit of VHDL2008 support.
You can read output ports, use the simplified sensitivity list and use block
comments.

/* block comments
supported in VHDL2008
*/

entity test is
port( count : out unsigned(7 downto 0)
......

begin

process(clk)
begin
if rising_edge(clk) then
count <= count + 1; -- VHDL2008 read output port
......

process(all) -- VHDL2008 simplified sensitivity
begin
.....

I also noticed that Quartus10 has some VHDL2008 support, does anybody know what
is supported?

VHDL2008 is happening very very slowly, now if only Modelsim could get its act
together.......

Hans
www.ht-lab.com
 
T

Tricky

Hi All,

For those that use Precision, the latest release has a bit of VHDL2008 support.
You can read output ports, use the simplified sensitivity list and use block
comments.

/* block comments
supported in VHDL2008
*/

entity test is
    port( count : out unsigned(7 downto 0)
.....

begin

process(clk)
begin
    if rising_edge(clk) then
        count <= count + 1; -- VHDL2008 read output port
.....

process(all) -- VHDL2008 simplified sensitivity
   begin
....

I also noticed that Quartus10 has some VHDL2008 support, does anybody know what
is supported?

VHDL2008 is happening very very slowly, now if only Modelsim could get its act
together.......

Hanswww.ht-lab.com

Id say until Modelsim supports it people wont really start using
VHDL2008 at all (apart from the 1993 versions of the float/fixed
packages, that comes included with modelsim)

Quartus has had some 2008 support since v9.1 (which came out last
year.) Ive had it doing if/elsif/else generates and case generates,
but according to their documentation V10.0 supports (this list is
similar to what 9.1 said it supported AFAIK):

â–  Block comments
â–  Simplified sensitivity lists
â–  Extensions to generate
â–  Matching case statement
â–  Matching equality/inequality operators
â–  Enhanced bit string literals
â–  Unconstrained elements in arrays

So still no in built float/fixed package - it has to be brought in to
each project, and you can only use the 1993 compatible versions.
 
M

M. Norton

Id say until Modelsim supports it people wont really start using
VHDL2008 at all (apart from the 1993 versions of the float/fixed
packages, that comes included with modelsim)

Unfortunately this is true, though I understand Aldec's simulation
package has far better 2008 support. I recently discussed the roadmap
with a Mentor support engineer and their implementation roadmap
stretches out to 2011a and 6.8. The only support that I know is in
for certain right now is the encrypted source code. Personally I
don't know why, of all the VHDL-2008 features, that was the one that
got the initial support, but there it is. (Likely they had someone
pay them for it I suppose.)

And while I was pleased to see Precision start implementing it, it
really doesn't make any sense to have different RTL for simulation and
synthesis.

The VHDL-2008 support for Modelsim exists as an enhancement request
ticket. If you feel strongly like you'd use it, call up Mentor and
ask to have your name and company added to the enhancement request.
With enough interest, perhaps they'll move up their implementation
timeline.

Best regards,
Mark Norton
 
D

dgreig

Unfortunately this is true, though I understand Aldec's simulation
package has far better 2008 support.  I recently discussed the roadmap
with a Mentor support engineer and their implementation roadmap
stretches out to 2011a and 6.8.  The only support that I know is in
for certain right now is the encrypted source code.  Personally I
don't know why, of all the VHDL-2008 features, that was the one that
got the initial support, but there it is.  (Likely they had someone
pay them for it I suppose.)

And while I was pleased to see Precision start implementing it, it
really doesn't make any sense to have different RTL for simulation and
synthesis.

The VHDL-2008 support for Modelsim exists as an enhancement request
ticket.  If you feel strongly like you'd use it, call up Mentor and
ask to have your name and company added to the enhancement request.
With enough interest, perhaps they'll move up their implementation
timeline.

Best regards,
Mark Norton

SynplifyPro and Aldec both have seem to have complete 2008 support,
the full set of IEEE libraries are provided and I have not yet found
any problems. That being said I am working with a subset of new
features that are pertinant to fixed point multi-dimensional DSP.
Lattice now provide SynplifyPro(full blown Lattice version) and
Aldec(slightly throttled Lattice version).

Best Regards
David Greig
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top