H
HT-Lab
Hi All,
For those that use Precision, the latest release has a bit of VHDL2008 support.
You can read output ports, use the simplified sensitivity list and use block
comments.
/* block comments
supported in VHDL2008
*/
entity test is
port( count : out unsigned(7 downto 0)
......
begin
process(clk)
begin
if rising_edge(clk) then
count <= count + 1; -- VHDL2008 read output port
......
process(all) -- VHDL2008 simplified sensitivity
begin
.....
I also noticed that Quartus10 has some VHDL2008 support, does anybody know what
is supported?
VHDL2008 is happening very very slowly, now if only Modelsim could get its act
together.......
Hans
www.ht-lab.com
For those that use Precision, the latest release has a bit of VHDL2008 support.
You can read output ports, use the simplified sensitivity list and use block
comments.
/* block comments
supported in VHDL2008
*/
entity test is
port( count : out unsigned(7 downto 0)
......
begin
process(clk)
begin
if rising_edge(clk) then
count <= count + 1; -- VHDL2008 read output port
......
process(all) -- VHDL2008 simplified sensitivity
begin
.....
I also noticed that Quartus10 has some VHDL2008 support, does anybody know what
is supported?
VHDL2008 is happening very very slowly, now if only Modelsim could get its act
together.......
Hans
www.ht-lab.com