Reading image file

  • Thread starter chandrakant birajdar
  • Start date
C

chandrakant birajdar

I am trying to implement 2D filter using VHDL for this i have to give
input as image. So how can i read image file?
 
T

Tricky

I am trying to implement 2D filter using VHDL for this i have to give
input as image. So how can i read image file?

Are you talking about for testbench or for real hardware.

For testbenches, you can use the read function to read files char by
char (in modelsim at least, other simulators want specific file
headers). This way you can read in file data and do whatever you want
with it.

In real hardware, you will need to send the dataa through the filter
pixel by pixel. so not as straightforward as above.
 
P

Paul Colin Gloster

Chandrakant Birajdar <[email protected]> sent:
|----------------------------------------------------------------------|
|"I am trying to implement 2D filter using VHDL for this i have to give|
|input as image. So how can i read image file?" |
|----------------------------------------------------------------------|

Use an image file in a bitmap format. (So for example, not in JPEG
format.) Each pixel would be stored as a number, so load each pixel as
a number.

It might be useful for you to read relevant parts of a book which
covers at least one graphical file format.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,774
Messages
2,569,598
Members
45,149
Latest member
Vinay Kumar Nevatia0
Top