Reading in values from file

Joined
Apr 6, 2011
Messages
1
Reaction score
0
I'm trying to implement an image processing system in Xilinx and right now I'm stuck on reading in the values. I'm using PGM image format because it stores the pixel values in ascii format so it's easy to to read them in. However the first 4 lines I need to read in and disregard (don't need the data) but I keep getting an error. Below is the segment of code I'm referring to. It gives me an error on the bolded part.

WHILE (i < 4) LOOP -- This loop reads in the first 4 lines and stores them in "whitespace"
READLINE (testfile,row);
WHILE (row'length /= 0) LOOP
READ(row, whitespace);
END LOOP;
i := i + 1;
END LOOP;

It says: VHDL source expression not yet supported: 'DerefOp'.

I tried changing the row'length expression to row /= null and I just get the same error but with 'DerefOp' replaced with 'NullValue'

Any ideas?
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top