VHDL-AMS MOS Level3

S

Stefan Klein

Hi

Im trying ti programm a Transistor MosLevel3 with VDHL-AMS. I am working
with Cadence AMS Designer. Apparently procedural does not work.

So how can i use a quantity as an input to evaluate some variables and
the use these variables to other quantities.

exampl.

quantity vds_q across drain to source;
quantity id_q through drain;

id_q is calculated by some variables which are dependent on the quantity.

Hope anyone can help

stefan
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top