vhdl random numbers from a text

Joined
Feb 14, 2011
Messages
1
Reaction score
0
Hi.

Just curious to know if its all possible with vhdl to load a bunch of random numbers generated by some program into vhdl, and then produce a signal as output from these random numbers. Im trying to do this but I run into problems regarding the size of the array, and also the signal produced is not random at all....

Does anyone have any ideas on this.?
 
Joined
Feb 15, 2011
Messages
2
Reaction score
0
ziggi said:
Hi.

Just curious to know if its all possible with vhdl to load a bunch of random numbers generated by some program into vhdl, and then produce a signal as output from these random numbers. Im trying to do this but I run into problems regarding the size of the array, and also the signal produced is not random at all....

Does anyone have any ideas on this.?

You can use my LFSR package to produce pseudo random numbers. Note that in the LFSR the numbers do not repeat until the sequence is exhausted.
See my site ( SystemVerilog dot us ) under LINKS VHDL_MODEL

If you could use SystemVerilog in mixed mode, you would have more versatility because you can define random numbers with constraints.
--------------------------------------------------------------------------
Ben Cohen
* SystemVerilog Assertions Handbook, 2nd Edition, 2010 ISBN 878-0-9705394-8-7
* A Pragmatic Approach to VMM Adoption 2006 ISBN 0-9705394-9-5
* Using PSL/SUGAR for Formal and Dynamic Verification 2nd Edition, 2004, ISBN 0-9705394-6-0
* Real Chip Design and Verification Using Verilog and VHDL, 2002 isbn 0-9705394-2-8
* Component Design by Example, 2001 ISBN 0-9705394-0-1
* VHDL Coding Styles and Methodologies, 2nd Edition, 1999 ISBN 0-7923-8474-1
* VHDL Answers to Frequently Asked Questions, 2nd Edition ISBN 0-7923-8115
--------------------------------------------------------------------------
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top