4 bit adder with overflow check

Joined
Apr 14, 2007
Messages
1
Reaction score
0
Hi there,

I found this forum with google search, because I don't know any forum with VHDL topics.

I have successfully compiled a 4 bit adder with the port map method.
Now I want to check for an overflow and I've created a process that checks the last carry out.

But now I'am getting an error that my output bitvector has more than one sources. (Because VHDL is working parallel)

How can I fix this?

Here is my architecture: http://pastebin.sk/en/1320/

Thanks :)
 
Joined
Mar 29, 2007
Messages
14
Reaction score
0
The error is correct, if t4='1', you are driving the output from two sources. One thing you can do is to use an internal signal (out_temp) from the two-adders and extend the process to

process(t4) begin
if (t4 = '1') then
output <= "1111";
else output <= "out_temp";
end if;
end process;

/Martin
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,055
Latest member
SlimSparkKetoACVReview

Latest Threads

Top