Assignment to output signal from internal signal not istantaneous

Joined
Feb 10, 2009
Messages
1
Reaction score
0
I have the following code snippet:

entity driver is
port
(
clk_o : out std_logic
);
end driver;
--
architecture rtl of driver is
begin
signal i_clk : std_logic :='0';
i_clk <= NOT i_clk AFTER 100ns;
clk_o <= i_clk;

clk_o is delayed respect to i_clk, is this possible?

Thank you,
Antonio.
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
Yes, clk_o is assigned a "delta" period of time after i_clk. It's by design of VHDL.
You can get around this by using a proces instead (making i_clk a variable instead of a signal)
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top