Library IEEE;
Use ieee.std_logic_1164.all;
Use ieee.numeric_std.all;
Entity topmodule is
Port (
Clk : in std_logic;
Uartout : out std_logic; -----9600
Uartout2: out std_logic; ------4800
Uartout3: out std_logic-----2400//;
);
End topmodule;
Architecture beav of topmodule is
Constant speed: natural: = 50e6;// here error is there Line 33. parse error, unexpected COLON, expecting AFFECT or SEMICOLON
Signal clk1: std_logic;
Signal clk_s: std_logic;
Signal clk_s1: std_logic;
Signal uartout: std_logic;// ERROR Line 37. Redeclaration of symbol uartout.
Component uart1
Generic (constant system_speed: natural: = 50e6; integer);ERROR IS Line 39. parse error, unexpected COLON, expecting SEMICOLON or CLOSEPAR
Port (clock: in std_logic;
Txd: out std_logic);
End component;
Begin
P1: process (clk)
Begin
If (clk'event and clk = '1') then
Clk_s <= not (clk_s);
End if;
End process P1;
P2: process (clk)
Begin
If (clk_s'event and clk_s = '1') then
Clk_s1 <= not (clk_s1);
End if;
End process P2;
Clock_mana1: uart1 generic map (system_speed=> speed)
Port map (clk, uartout);
Clock_mana2: uart1 generic map (system_speed=> speed)
Port map (clk_s, uartout2);
Clock_mana3: uart1 generic map (system_speed=> speed
Port map (clk_s1, uartout3);
End beav;
Line 33. parse error, unexpected COLON, expecting AFFECT or SEMICOLON
Line 37. Redeclaration of symbol uartout.
Line 39. parse error, unexpected COLON, expecting SEMICOLON or CLOSEPAR
PLEASE HELP ME ITS URGENT