Cypress Warp 6.3 library management

A

agdepus

Are there anyone out there that is using their own library with warp 6.3?
There is no way i can get the compiler to find them unless i copy the
library into the project directory.
Warp seems to disregard the path....

agdepus
 
K

Ken Smith

Are there anyone out there that is using their own library with warp 6.3?
There is no way i can get the compiler to find them unless i copy the
library into the project directory.

That's what I did too.

Creating a windows short cut didn't work right either. I thought I'd
found a way around it. You could try asking the nice folks at Cypress.
 
C

Charles M. Elias

agdepus said:
Are there anyone out there that is using their own library with warp 6.3?
There is no way i can get the compiler to find them unless i copy the
library into the project directory.
Warp seems to disregard the path....

agdepus

Here is the procedure:

1. Write your library and save it.

2. In Galaxy click on File/New and select Project(target library).
Add the VHDL file or files to this project in the same way you do for
a hardware project. The order of the files is important if a file
uses modules from another file. Order the files so that the most
primitive files appear at the top of the list, followed by the files
that use the primitives.

3. Compile this project. You now have a compiled VHDL library.

4. In order to use this library in a VHDL project that targets a
device you open the that project in Galaxy and and select
Project/Library Manager. In the Libraries dialog box, select the
Assign tab and click Add. Enter the library name and path (you can
use the ... button to browse and select the path that way.

5. Close all of the dialogs, and then you can check to see if you did
it right by selecting Project/Library Mangager again. Your library
should appear in the dialog box window. Don't forget the "use
statement" for your library in your project's VHDL code. You must use
step 4 above for each project in which you want to use your library.

Best regards,

Charles
 
Joined
Feb 9, 2008
Messages
3
Reaction score
0
I have a problem with libraries..

First of all....my english its no so good...i hope i can handle.

I want to write the VHDL code for an AM2901 from AMD. I have the code from a book. I created all the files step by step like in the book. The basic library, each functional block, and the final file wich includes the description of entire AM2901. Anyway...how can i put two different custom libraries in "work". With only one..it works..because i have the index file and the vif file. When i put the other vif file (from the second library) i cant merge de indexes. I hope you guys, understand what i tried to say.

Thank you.

LE: I have the error message E429
 
Last edited:

kva

Joined
May 1, 2008
Messages
2
Reaction score
0
When i compiled this code using warp 6.3 galaxy, i got a popup message "vhdlfe.exe has encountered a problem and needs to close. We are sorry for the inconvenience", need help.

Thanks,

------------------------------------
Code:
library IEEE;
use IEEE.STD_LOGIC_1164.all, IEEE.NUMERIC_STD.all;

entity ENCODE_8_3_CASE is
	port (A:in  unsigned(7 downto 0);
		  Y:out unsigned(2 downto 0));
end entity ENCODE_8_3_CASE;

attribute part_name of ENCODE_8_3_CASE : entity is "22V10";

attribute PIN_NUMBERS of ENCODE_8_3_CASE: entity is
	" A(7):09 A(6):08 A(5):07 A(4):6 A(3):5 A(2):4 A(1):3 A(0):2 Y(2):21 Y(1):22 Y(0):23";
end entity ENCODE_8_3_CASE;

architecture LOGIC of ENCODE_8_3_CASE is
begin
	process(A)
	begin
		case A is
			when "00000001" => Y <= "000";
			when "00000010" => Y <= "001";
			when "00000100" => Y <= "010";
			when "00001000" => Y <= "011";
			when "00010000" => Y <= "100";
			when "00100000" => Y <= "101";
			when "01000000" => Y <= "110";
			when "10000000" => Y <= "111";
			when others     => Y <= "000";
		end case;
	end process;
end architecture LOGIC;
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top