Delay register - howto?

Joined
Oct 30, 2006
Messages
4
Reaction score
0
Hi all,

I need to make a delay but i dont know how i should realise this.

"A" ................... "B"......................."C"
.._____ .............. _____ ............... _____
|.........|.signal A.|........|.signal B.|.........|
|.........|-------->|........|-------->|.........|
|_____|.............|_____|.............|_____|
....|_____________________________^
.........................signal C

Signal B arrives 12 clock cycles later than signal C.
When signal B arrives at "C" the signal C has allready changed but i need
the first value.
I would like to make a shift register to delay it.

Can some1 please help me to realize this in a process ?

Thanks in advance!
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,056
Latest member
GlycogenSupporthealth

Latest Threads

Top