diference between signal and variable?

Joined
May 9, 2008
Messages
5
Reaction score
0
good morning

can someone explain me the diference between use a signal and a variable?

is there a pysic diference between them both?
 
Joined
Mar 10, 2008
Messages
348
Reaction score
0
Find and download Evita VHDL interactive book - In chapter 6 will you find examples which explains the difference.

A variable will get its value immediate with :=
A signal will wait until the code ended <=
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top