Evolutionary VHDL code example

A

apsolar

Hello everyone
Does anyone where I can find a simple VHDl code example based on
evolutionary algorithms.I am doing a project on evolvable hardware.
This will help me get a start on the implementation of Evolvable
Hardware.
Ankit Parikh
Manukau Institute Of Technology
 
M

Mike Treseler

Does anyone where I can find a simple VHDl code example based on
evolutionary algorithms.I am doing a project on evolvable hardware.

VHDL is an excellent hardware
description language, but it is
far from the best text processing language.

You need a custom process for generating
and automatically modifying VHDL synthesis code
based on simulation results.

-- Mike Treseler
 
A

apsolar

Hi Mike
Could you explain your suggestion in a bit detail. I am a novice in
VHDL programming.

Ankit
 
M

Mike Treseler

Could you explain your suggestion in a bit detail. I am a novice in
VHDL programming.

Perhaps you should consider a less complicated project.
My point was that in order to automate the writing
of vhdl synthesis code, you might have to not only
learn vhdl for synthesis and simulation but also
a text processing language such as perl or awk
to handle the automated code modifications.


-- Mike Treseler
 
B

Benjamin Todd

I agree with Mike, VHDL directly may not be the best way to look into a
problem like this.

From what I remember a lot of Genetic Algorithm based work has been done by
modifying FPGA bit files, and using an FPGA that is tolerant of bad
configurations, i.e. doesn't blow-up if you short it by design internally.
(was an old Xilinx device used by Adrian Thompson at Sussex that was capable
of detecting a frequency if I remember rightly)

So you create many bit files, test them all in the apparatus, and give them
a 'fitness' rating. You then mutate and converge the fittest and repeat,
until the bit file you have can do the assigned task.
All very well, but no VHDL involved, I suppose you could fiddle with the
VHDL instead, but here you'll be missing a key point - only the
synthesiseable stuff will make it.
Anyways, this all depends on what level you look at this from, if you're
talking about the feedback and weighting using in machine learning then
you'd have to explain more of your problem.
HTH
Ben
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads

VHDL: AXI-stream FIFO 2
lms algorithm code 0
Vhdl code for octal to binary conversion 0
vhdl code 0
vhdl querry 1
VHDL code error 10
Age gate code 0
PDF File Code 4

Members online

Forum statistics

Threads
473,780
Messages
2,569,611
Members
45,276
Latest member
Sawatmakal

Latest Threads

Top