Forum VHDL in Italiano

  • Thread starter Bernasconi Sacha
  • Start date
B

Bernasconi Sacha

Sto creando un sito sul vhdl in italiano, contenente una guida di
base, collegamenti a guide avanzate e un forum di discussione.
Se a qualcuno può interessare e avesse voglia di iniziare a far vivere
il forum questo è l'indirizzo: http://vhdl.onlinedreams.ch
Saluti
 
L

Laurent Gauch

Bernasconi said:
Sto creando un sito sul vhdl in italiano, contenente una guida di
base, collegamenti a guide avanzate e un forum di discussione.
Se a qualcuno può interessare e avesse voglia di iniziare a far vivere
il forum questo è l'indirizzo: http://vhdl.onlinedreams.ch
Saluti

You could add our VHDL Memo to your links.
Link is http://www.amontec.com/fix/vhdl_memo/index.html

Saluti
Larry
------------ And now a word from our sponsor ------------------
Want to have instant messaging, and chat rooms, and discussion
groups for your local users or business, you need dbabble!
-- See http://netwinsite.com/sponsor/sponsor_dbabble.htm ----
 
D

dade

cito dal tuo sito:

Tradotto in italiano quindi VHDL sta per: linguaggio di descrizione
hardware per circuiti a velocità d'integrazione molto alta.

non sarebbe
linguaggio di descrizione hardware per circuiti integrati ad alta velocità.

Scusa la pignoleria, ma se mi cadi proprio sulla definizione :p

Comunque per l'università devo imparare anche io il vhdl, quindi terrò
il tuo sito come risorsa utile!
Grazie quindi... aspettati contributi!

Davide

http:\\omegalab.altervista.org
 
B

Bernasconi Sacha

Come scritto in prima pagina, il sito è in costruzione, anche i
contenuti verranno rivisti finito il grosso del lavoro e procederò
alle correzioni.
Comunque per adesso ogni critica o commento è benvenuta, procederò
subito alla correzione, grazie!
Per qualsiasi cosa scrivete (pignoleria o non ;) ), appena posso
sistemo.
Saluti
Sacha

dade ha scritto:
 
?

=?ISO-8859-1?Q?Giuseppe=B3?=

Bernasconi Sacha ha scritto:
Sto creando un sito sul vhdl in italiano, contenente una guida di
base, collegamenti a guide avanzate e un forum di discussione.
Se a qualcuno può interessare e avesse voglia di iniziare a far vivere
il forum questo è l'indirizzo: http://vhdl.onlinedreams.ch
Saluti

Ciao,
Sulla pagina dei link, a mio parere, manca il link al programma ISE
della Xilinx.
La versione web e' liberamente scaricabile ed utilizzabile in ambiente
windows.
Quella a pagamento ha in piu' solo il coregen per generare
automaticamente degli oggetti del programma come sommatori, divisori,
funzioni complesse etc (intelletual proprerty per intenderci) e la
possibilita' di utilizzare piattaforme diverse come Linux e Sun.

--
 
B

Bernasconi Sacha

Ciao, sotto programmi->windows, nei link c'era già il sito della
xilinx.
Se mi dici che c'è anche per linux e unix lo sposto sotto
multipiattaforma.
Dici che il link così alla xilinx è sufficiente o dovrei mettere
direttamente il link al programma?
grazie

sacha

Giuseppe³ ha scritto:
 
?

=?ISO-8859-1?Q?Giuseppe=B3?=

Bernasconi Sacha ha scritto:
Ciao, sotto programmi->windows, nei link c'era già il sito della
xilinx.
Se mi dici che c'è anche per linux e unix lo sposto sotto
multipiattaforma.
Dici che il link così alla xilinx è sufficiente o dovrei mettere
direttamente il link al programma?
grazie

sacha

Sinceramente metterei tutti i link in ordine alfabetico, ed
eventualmente a lato aggiungerei tra parentesi se e' multipiattaforma o no.

E' difficile capire cosa si intende con multipiattaforma o windows anche
perche' e' un continuo aggiornamento di versioni da parte delle case.

Vedi il messaggio di Matteo, dice che ISE web 7 e' anche per Linux,
mentre io ero fermo alla 6 che era solo per win.

Per il secondo quesito, metterei il link alla pagina di download. E' piu
veloce.

--
 
Joined
Apr 12, 2008
Messages
1
Reaction score
0
Divisore senza restauro

Ciao A tutti,
vi scrivo per chiedervi aiuto. Mi è stato assegnato come progettino di fine anno per un esame la realizzazione in VHDL di un divisore senza restauro.
traccia:"Progetto e simulazione di un divisore di un numero intero X (dividendo) a 2 byte per un intero Y (divisore) a 6 bit. Il quoziente è pur esso un numero intero. Il metodo da seguire è senza restauro: cioè Y va confrontato mediante un comparatore ad un dividendo parziale Xp costituito inizialmente da 6 bit. Qualora Y>Xp il quoziente parziale è zero ed Y deve essere spostato di un posto a dx rispetto a X. Si rifà il tentativo di sottrazione e restauro e shift, che va ripetuto fino a che Y non risulti minore o uguale a Xp. Nel data path, si prevedono 3 registri A, Q, M, il dividendo X va memorizzato nei registri A e Q ed il divisore nel registro M. Al termine il quoziente deve rimanere in Q ed il resto in A. Un apposito contatore indica la fine dell’operazione."

Quello che ho realizzato io in vhdl ha il seguente listato:
####################################################
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity divisore_senza_restauro is
port(Ui,Li: in std_logic_vector(7 downto 0); --Li(8->0) LSByte divisore
--Ui(8->0) MSByte divisore
Y: in std_logic_vector(5 downto 0); --Ui(8->0) MSByte divisore
n1,n2,n3,overflow1,overflow2: out std_logic;
Uu,Lu,resto: out std_logic_vector(7 downto 0)
);
end divisore_senza_restauro;

architecture programma of divisore_senza_restauro is
begin

comp: process (U,L)
variable Xp: std_logic_vector(15 downto 0);
variable Xp1: unsigned(15 downto 0);
variable Y1: unsigned(5 downto 0);
variable U_tempo: std_logic_vector(15 downto 0);
variable quoz: std_logic_vector(15 downto 0 );
--variable resto: bit_vector(7 downto 0);
variable n: integer ;
variable pippo,nq,ni,m,p, c,d,ind_quoz , t, i, q, var, b: integer RANGE -100 TO 100;

begin
c:=0;
n1<='0';
n2<='0';
n3<='0';

p:=5;
ind_quoz:=15; --contatore che indica la fine della divisione
--while(ind_quoz>4)loop --15 bit-10 bit
-------------------------------------------------------
----sposto 2 byte U e L nella variabile qi_tempo----

for n in 15 downto 8 loop
U_tempo(n):=U(n-8);
end loop;
for n in 7 downto 0 loop
U_tempo(n):=U(n);
n2<='1';
end loop;

------------------------------------
------ D I V I S I O N E -----------
pippo:=p;
for n in 10 downto 0 loop
Xp:= U_tempo;
Xp1:=unsigned(Xp);
Xp1:=shift_right(Xp1,n);
-------------------
Y1:=unsigned(Y);
if(Y1>Xp1)then
--devo mettere zero nel quoziente
quoz(ind_quoz):='0';
ind_quoz:=ind_quoz-1;
else
--mettere 1 nel quoziente e fare la sottrazione
quoz(ind_quoz):='1';
Xp1:=Xp1-Y1;
--trasferire gli n bit - significativi di Xp a
--gli n bit + significativi di U_tempo
for d in 0 downto p loop
U_tempo(15-d):=Xp1(pippo);
pippo:=pippo-1;
end loop;
ind_quoz:=ind_quoz-1;

end if;
p:=p+1;
end loop; --FINE DIVISIONE

--end loop;--controllo sulla fine della divisione

------gestione del quoziente--------
--if(ind_quoz<7)then
for d in 15 downto 8 loop
Uu(d-8)<= quoz(d);
end loop;
--overflow2<=U_tempo(7);
--overflow1<=U_tempo(6);
n3<='1';
for d in 7 downto 0 loop
Lu(d)<= quoz(d); --trasferisco i primi 8 bit del resto al seganle di uscita
end loop;
--else
-- for d in 15 downto 8 loop
-- Uu(d-8)<= U_tempo(d);
-- end loop;
-- end if;

------gestione del resto------------

for d in 7 downto 0 loop
resto(d)<= U_tempo(d); --trasferisco i primi 8 bit del resto al seganle di uscita
end loop;
n1<='1';
end process comp;
end programma;
#####################################################
Purtroppo nn funziona.
qualcuno di voi può aiutarmi con il mio progetto o farmi vedere qualcosa di simile?
Grazie in anticipo per la vostra collaborazione.
PS
scusate le la richiesta un po' lunga.
 
Joined
Sep 21, 2008
Messages
1
Reaction score
0
aiuto Modelsim VHDL

ciao a tutti,
come faccio a far riconoscere al mio ULTRAEDIT i files ".vhd" , cioè i file scritti in VHDL?
credo serivrebbe una libreria;
qualcuno di voi ce l'ha?
potreste aiutarmi a trovarla?
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top