Generic package

P

patrick.melet

hi,

is it possible to define a package with a generic option

i would like to have this :

package decoder is

generic (N : natural)

type POLY_TAB is array(0 to N) of std_logic_vector(7 downto 0);
....


thanks
 
K

KJ

hi,

is it possible to define a package with a generic option

i would like to have this :

package decoder is

generic (N : natural)

type POLY_TAB is array(0 to N) of std_logic_vector(7 downto 0);
...

The short answer is 'no you can't put the generic in the package'. But the
following might work for you instead...

package decoder is
type POLY_TAB is array(natural range <>) of std_logic_vector(7 downto 0);
end package decoder;

Now type 'POLY_TAB' is an unconstrained array. At some point you'll want to
use it and you would declare a signal as...

signal My_Poly_Tab: POLY_TAB(0 to 5);

KJ
 
A

Andy

For now, KJ's approach is a close as you can get. But I believe the
next balloted revision of VHDL is due to have generic packages. Then
the vendors will have to update their tools to support it...

Andy
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top