How can I simply invert the floating point number?

Joined
Sep 20, 2007
Messages
2
Reaction score
0
Hi,I am working on fast inversion project and now I need to find a simply inversion code to compare with mind. I searched on some sources but most of them was used ieee.numeric_unsigned.Code Example from that website:
-------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_unsigned.all;


entity divider is
port(DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0));
end divider;
architecture archi of divider is
begin
DO = 1 / DI;
end archi;
--------------------------
It didn't work with my complier.Do you have any suggestion where can I find either the simply dividing code that I can apply as an inversion code or inversion code itself would be great. The result should come out B<= 1 / A, in case A is std_logic_vector
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,733
Messages
2,569,439
Members
44,829
Latest member
PIXThurman

Latest Threads

Top